uart模块verilog源代码以及相应testbench

时间:2021-05-19 20:21:22
【文件属性】:
文件名称:uart模块verilog源代码以及相应testbench
文件大小:550KB
文件格式:7Z
更新时间:2021-05-19 20:21:22
verilog sv验证代码 uart模块verilog源代码以及相应testbench
【文件预览】:
protocol_monitor
----apb_monitor.sv(5KB)
agents
----apb_agent()
--------reg2apb_adapter.svh(2KB)
--------apb_agent.svh(3KB)
--------apb_driver.svh(3KB)
--------apb_seq_item.svh(4KB)
--------apb_if.sv(1KB)
--------apb_agent_config.svh(2KB)
--------apb_read_seq.svh(2KB)
--------apb_seq.svh(2KB)
--------apb_monitor.svh(3KB)
--------apb_agent_pkg.sv(1KB)
--------apb_write_seq.svh(2KB)
--------apb_sequencer.svh(1KB)
--------apb_coverage_monitor.svh(2KB)
----uart_agent()
--------uart_driver.svh(3KB)
--------uart_agent.svh(2KB)
--------serial_if.sv(872B)
--------uart_sequencer.svh(1KB)
--------uart_monitor.svh(5KB)
--------uart_agent_pkg.sv(2KB)
--------uart_seq_item.svh(4KB)
--------uart_agent_config.svh(1KB)
----modem_agent()
--------modem_agent.svh(2KB)
--------modem_basic_sequence.svh(1KB)
--------modem_seq_item.svh(3KB)
--------modem_coverage_monitor.svh(2KB)
--------modem_driver.svh(2KB)
--------modem_agent_pkg.sv(1KB)
--------modem_monitor.svh(2KB)
--------modem_config.svh(1KB)
--------modem_sequencer.svh(1KB)
--------modem_if.sv(985B)
sim
----Makefile(3KB)
uvm_tb
----sequences()
--------host_if_seq_pkg.sv(3KB)
--------uart_host_rx_seq.svh(1KB)
--------uart_wait_empty_seq.svh(1KB)
--------uart_int_enable_seq.svh(1KB)
--------uart_host_msr_seq.svh(296B)
--------uart_config_seq.svh(1KB)
--------uart_rx_seq.svh(2KB)
--------modem_isr_seq.svh(1KB)
--------uart_host_tx_seq.svh(2KB)
--------uart_int_tx_rx_seq.svh(2KB)
--------baud_rate_test_seq.svh(2KB)
--------uart_host_mcr_seq.svh(1KB)
--------uart_seq_pkg.sv(994B)
----env()
--------baud_rate_checker.svh(4KB)
--------uart_env_pkg.sv(1KB)
--------uart_env_config.svh(2KB)
--------uart_rx_scoreboard.svh(6KB)
--------uart_modem_coverage_monitor.svh(2KB)
--------uart_tx_coverage_monitor.svh(2KB)
--------lcr_item.svh(1015B)
--------uart_tx_scoreboard.svh(5KB)
--------uart_interrupt_coverage_monitor.svh(11KB)
--------uart_env.svh(5KB)
--------lsr_item.svh(1015B)
--------uart_modem_scoreboard.svh(7KB)
--------uart_rx_coverage_monitor.svh(2KB)
--------uart_reg_access_coverage_monitor.svh(3KB)
----register_model()
--------uart_reg_pkg.sv(10KB)
----virtual_sequences()
--------uart_vseq_pkg.sv(1KB)
--------word_format_int_vseq.svh(3KB)
--------uart_vseq_base.svh(1KB)
--------rx_errors_int_vseq.svh(3KB)
--------modem_int_test_vseq.svh(2KB)
--------basic_reg_vseq.svh(1KB)
--------modem_poll_test_vseq.svh(2KB)
--------word_format_poll_vseq.svh(2KB)
----tests()
--------modem_poll_test.svh(2KB)
--------baud_rate_test.svh(2KB)
--------word_format_int_test.svh(2KB)
--------rx_errors_int_test.svh(1KB)
--------word_format_poll_test.svh(2KB)
--------uart_test_base.svh(4KB)
--------uart_test_pkg.sv(1KB)
--------uart_test.svh(2KB)
--------modem_int_test.svh(2KB)
----tb()
--------uart_tb.sv(3KB)
--------interrupt_if.sv(933B)
rtl
----uart()
--------uart_register_file.sv(12KB)
--------uart_16550.sv(5KB)
--------uart_tx_fifo.sv(3KB)
--------uart_rx.sv(10KB)
--------uart_tx.sv(8KB)
--------uart_rx_fifo.sv(2KB)
docs
----mgc_uart.pdf(566KB)
README

网友评论