AM 调幅波调制解调 FPGA Verilog 代码 Xilinx Vivado 工程 FIR+FIFO应用

时间:2022-06-21 14:08:00
【文件属性】:
文件名称:AM 调幅波调制解调 FPGA Verilog 代码 Xilinx Vivado 工程 FIR+FIFO应用
文件大小:268KB
文件格式:RAR
更新时间:2022-06-21 14:08:00
FPGA VERILOG vivado AM调制解调 FIR+FIFO AM 调幅波调制解调 FPGA Verilog 代码 Xilinx Vivado 工程 FIR+FIFO应用 https://blog.csdn.net/qq_46621272/article/details/125384724 文章有该代码详细说明 https://blog.csdn.net/qq_46621272/article/details/125292610 FIR 使用详解
【文件预览】:
am_fifo_fir
----lowpass_10k_30k_1m.coe(736B)
----am_fifo_fir_project()
--------am_fifo_fir_project.srcs()
--------am_fifo_fir_testbench_behav.wcfg(18KB)
--------am_fifo_fir_project.xpr(14KB)
----src()
--------am_fifo_demodulation_fir.v(4KB)
--------am_fifo_demodulation_fir.png(84KB)
--------am_fifo_testbench.v(2KB)
--------fifo2.png(43KB)
--------am_pin.xdc(5KB)
--------fifo3.png(50KB)
--------fifo1.png(42KB)
--------fir2.png(63KB)
--------am_modulation_dds.v(2KB)

网友评论