AM 调幅波调制解调 FPGA Verilog 代码 Xilinx Vivado 工程 FIR 高级应用

时间:2022-06-21 14:11:53
【文件属性】:
文件名称:AM 调幅波调制解调 FPGA Verilog 代码 Xilinx Vivado 工程 FIR 高级应用
文件大小:1.18MB
文件格式:RAR
更新时间:2022-06-21 14:11:53
FPGA vivado FIR重采样 FIR高级应用 AM 调幅波调制解调 FPGA Verilog 代码 Xilinx Vivado 工程 FIR 高级应用,FIR 低通滤波+重采样,FIR 高阶系数,FIR+FIFO https://blog.csdn.net/qq_46621272/article/details/125385375 文章有该代码详细说明 https://blog.csdn.net/qq_46621272/article/details/125292610 FIR 使用详解
【文件预览】:
am_fifo_dc_fir
----lowpass_10k_30k_1m.coe(736B)
----src()
--------fir1.png(64KB)
--------w2.png(43KB)
--------w1.png(48KB)
--------fifo3.png(50KB)
--------fir4.png(56KB)
--------am_fifo_dc_fir_testbench.v(2KB)
--------am_pin.xdc(5KB)
--------m2.png(55KB)
--------fifo1.png(42KB)
--------am_fifo_dc_demodulation_fir.png(488KB)
--------fir5.png(57KB)
--------fir8.png(56KB)
--------fir3.png(59KB)
--------am_modulation_dds.v(2KB)
--------fir7.png(57KB)
--------am_fifo_dc_demodulation_fir.v(6KB)
--------fir2.png(63KB)
--------fifo2.png(43KB)
--------m1.png(62KB)
--------fir6.png(64KB)
----fir_compiler_highpass_30_50_62k.coe(33KB)
----am_fifo_dc_fir_project()
--------am_fifo_fir_dc_testbench_behav.wcfg(21KB)
--------am_fifo_dc_fir_project.xpr(18KB)
--------am_fifo_dc_fir_project.srcs()

网友评论