AM 调幅波调制解调 FPGA Verilog 代码 Xilinx Vivado 工程

时间:2022-06-17 16:06:14
【文件属性】:
文件名称:AM 调幅波调制解调 FPGA Verilog 代码 Xilinx Vivado 工程
文件大小:761KB
文件格式:RAR
更新时间:2022-06-17 16:06:14
FPGA 调幅波调制 调幅波解调 verilog FIR AM 调幅波调制解调 FPGA Verilog 代码 Xilinx Vivado 工程 FIR 使用 https://blog.csdn.net/qq_46621272/article/details/125334644 文章有该代码详细说明 https://blog.csdn.net/qq_46621272/article/details/125292610 FIR 使用详解
【文件预览】:
am_fir
----lowpass_10k_30k_1m.coe(736B)
----am_fir_project()
--------am_fir_project.xpr(15KB)
--------am_fir_project.srcs()
--------am_modem_fir_testbench_behav.wcfg(12KB)
----src()
--------11.png(58KB)
--------am_modem_fir_testbench.v(1KB)
--------09.png(65KB)
--------04.png(55KB)
--------10.png(38KB)
--------am_demodulation_fir.png(121KB)
--------01.png(51KB)
--------07.png(63KB)
--------02.png(50KB)
--------am_pin.xdc(5KB)
--------am_demodulation_fir.v(2KB)
--------am_modulation_dds.png(75KB)
--------06.png(45KB)
--------03.png(50KB)
--------12.png(55KB)
--------05.png(43KB)
--------am_modulation_dds.v(2KB)
--------08.png(67KB)

网友评论