verilog编写I2C

时间:2015-12-07 04:51:26
【文件属性】:
文件名称:verilog编写I2C
文件大小:176KB
文件格式:PDF
更新时间:2015-12-07 04:51:26
verilog I2C 用verilog编写的I2C总线功能,并且进行测试。

网友评论

  • 写的这是什么啊,怎么乱评啊,浪费我五分,还我积分
  • 赞一个!非常好的资源,代码很完整。非常推荐。