i2c总线读写模块,使用Verilog编写

时间:2021-01-21 15:03:59
【文件属性】:
文件名称:i2c总线读写模块,使用Verilog编写
文件大小:38KB
文件格式:V
更新时间:2021-01-21 15:03:59
fpga/cpld fpga实现了i2c master的时序,支持单次读写操作者

网友评论