vhdl 除法器

时间:2014-11-01 15:14:28
【文件属性】:
文件名称:vhdl 除法器
文件大小:14KB
文件格式:RAR
更新时间:2014-11-01 15:14:28
除法器 任意正整数的快速除法器属于电子器件技术领域。主要解决现有除法器运算速度慢、元器件多的问题。技术要点是通过两位二进制数加两位二进制数的加法器和两位二进制数加一位二进制数的加法器与与门和非门连接而成。它的运算速度几乎与同样位数的加法器的运算速度相同,而且使用的设备量也很少。在使用特殊除法的场合有不可替代的作用
【文件预览】:
divider
----wave.bmp(2.98MB)
----divider.vhd(5KB)
----sim.do(208B)

网友评论

  • 可以用,功能OK,但是延迟有点大
  • 还行,可以作为模块化程序使用