vhdl多功能加法器

时间:2014-06-12 15:10:55
【文件属性】:
文件名称:vhdl多功能加法器
文件大小:879B
文件格式:TXT
更新时间:2014-06-12 15:10:55
vhdl 加法器 设计一个多功能的1位加法器,有控制信号M、S2、S1、S0。 当M=1,做算术运算: 在S2、S1、S0的控制下能完成两个1位二进制数A、B的以下算术运算: A加B,A加1,A加B加低位来的进位,B加1,A加 ,A加0,A加A ,A加 加1。 当M=0,做逻辑运算: 在S2、S1、S0的控制下能完成两个1位二进制数A、B的以下逻辑运算:A+B,AA+B,A·B等。

网友评论

  • 确实挺好用的