vhdl多功能加法器

时间:2023-06-02 10:17:08
【文件属性】:
文件名称:vhdl多功能加法器
文件大小:717B
文件格式:ZIP
更新时间:2023-06-02 10:17:08
vhdl 加法器 设计一个多功能的1位加法器,有控制信号M、S2、S1、S0。 当M=1,做算术运算: 在S2、S1、S0的控制下能完成两个1位二进制数A、B的以下算术运算: A加B,A加1,A加B加低位来的进位,B加1,A加 ,A加0,A加A ,A加 加1。 当M=0,做逻辑运算: 在S2、S1、S0的控制下能完成两个1位二进制数A、B的以下逻辑运算:A+B,AA+B,A·B等。
【文件预览】:
183d8cd8459941188f9df0fe0b9bba98
----多功能加法器.txt(879B)

网友评论