booth multiplier verilog code

时间:2014-01-23 16:11:35
【文件属性】:
文件名称:booth multiplier verilog code
文件大小:3KB
文件格式:RAR
更新时间:2014-01-23 16:11:35
booth multiplier verilog 用booth 算法实现的一个简单的乘法器,编程语言为verilog。可以作为大家参考。并给出了testbench。
【文件预览】:
booth multiplier
----control_unit.v(972B)
----data_path.v(2KB)
----booth_multiply_tb.v(2KB)
----booth_multiply.v(944B)

网友评论

  • 写得不错,但是latency太大了。
  • 适合于初学者