VHDL 4位除法器

时间:2013-11-01 10:18:56
【文件属性】:
文件名称:VHDL 4位除法器
文件大小:31KB
文件格式:DOC
更新时间:2013-11-01 10:18:56
VHDL 除法 简单易懂的4位有符号除法器,适当修改可以使用无符号数,原理简单

网友评论

  • 全错,仿真结果不对
  • 过程简单易懂,有参考价值。
  • 不是我想要得