fpga课程资料

时间:2018-07-27 14:57:25
【文件属性】:
文件名称:fpga课程资料
文件大小:904KB
文件格式:RAR
更新时间:2018-07-27 14:57:25
123456 fpga课程课后答案以及常用的一些程序课后答案abdc
【文件预览】:
14763
----第3章 数据选择器设计应用.ppt(130KB)
----第4章 全加器设计应用.ppt(224KB)
----第1章 译码器设计应用.ppt(320KB)
----第5章 寄存器设计应用.ppt(145KB)
----第6章 计数器设计应用.ppt(112KB)
----第2章 频率计设计应用.ppt(934KB)

网友评论