基于verilog的fpga数字钟

时间:2020-06-29 07:37:15
【文件属性】:
文件名称:基于verilog的fpga数字钟
文件大小:3.72MB
文件格式:ZIP
更新时间:2020-06-29 07:37:15
fpga数字钟 l、能进行正常的时、分、秒计时功能,分别由6个数码显示24小时、60分钟的计数器显示。 2、能利用实验系统上的按钮实现“校时”、“校分”功能; (1)按下“SA”键时,计时器迅速递增,并按24小时循环; (2)按下“SB”键时,计时器迅速递增,并按59分钟循环,并向“时”进位; (3)按下“SC”键时,秒清零;抖动的,必须对其消抖处理。 3、能利用扬声器做整点报时: (1)当计时到达59’50”时开始报时,频率可为500Hz; 计满23小时后回零;计满59分钟后回零。 (2)到达59’59”时为最后一声整点报时,整点报时的频率可定为lKHz。 4定时闹钟功能

网友评论