verilog实现的PCM模块

时间:2012-08-15 10:33:31
【文件属性】:
文件名称:verilog实现的PCM模块
文件大小:3KB
文件格式:V
更新时间:2012-08-15 10:33:31
verilog PCM verilog实现的PCM模块 verilog实现的PCM模块 verilog实现的PCM模块

网友评论

  • 请问有注释吗
  • 很好的资源,正好有帮助,感谢。
  • 不是我要的代码,哎,程序看着有点奇怪
  • 参考学习编码为目的
  • 太简单基本不可用
  • 程序还行吧,不过不是我要的,哎
  • PCM的硬件实现调制信号,不错的参考
  • 很好的资源,看了看,有用
  • PCM编码的硬件实现,很好!
  • 程序没有PCM编码的部分,资源分10分,有点不值。