32位CRC FPGA Verilog并行算法

时间:2015-01-07 10:38:42
【文件属性】:
文件名称:32位CRC FPGA Verilog并行算法
文件大小:7KB
文件格式:V
更新时间:2015-01-07 10:38:42
CRC FPGA Verilog 32位CRC FPGA Verilog并行算法,本人亲测,用于网络报文CRC校验项目。

网友评论

  • 坑了吧,这是4bit的CRC32
  • 正是我需要的。
  • 4bit32bit输出的CRC,可用于网络报文CRC校验
  • 实现了4位输入的CRC代码生成,若需要拓展至多位输入要自己进行研究
  • 好资料,不错不错,学习了。
  • 不错,与我们之前使用的很相近,但是我想使用的是CRC32_8的程序,还是值得借鉴的
  • 经过实际使用,证明是完全可行的
  • 这是找到的最高效的CRC32实现方法, 很经典,尽管是10年前的。以前做ATM项目开发的时候,好像也是用的这种FPGA实现方法方法,那时的代码已经找不到了。 最近,新开发项目需要这个,真是似曾相识啊。
  • 非常不错,学习一下
  • 有待进一步研究。。。
  • 非常不错,学习一下
  • 4bit输入,32bit输出。
  • 参照你的算法验证了一下,貌似还行,有一定参考价值
  • 看不懂,仿真都不知道怎么用,不知道对不对
  • 是4bit输入的,32bit输出的,可以学个样子
  • 很开心在这里找到了verilog实现。但是,代码比较难懂!
  • 不容易啊,终于找着算法了,相当不错,谢谢分享!
  • 这是从opencore 10/100M MAC中提取的,如果能给个testbench就好了
  • 不错,可以用。谢谢分享。
  • 很好的资料,不过不太好懂,没有相关说明,只有原代码