自动售货机VHDL程序与仿真

时间:2013-03-28 07:05:05
【文件属性】:
文件名称:自动售货机VHDL程序与仿真
文件大小:140KB
文件格式:RAR
更新时间:2013-03-28 07:05:05
自动售货机 功能:货物信息存储,进程控制,硬币处理,余额计算,显示等功能。 说明:显示的钱数coin的 以5角为单位。
【文件预览】:
8.21 自动售货机VHDL程序与仿真.doc

网友评论