自动售货机VHDL程序与仿真

时间:2015-01-02 14:55:01
【文件属性】:
文件名称:自动售货机VHDL程序与仿真
文件大小:144KB
文件格式:RAR
更新时间:2015-01-02 14:55:01
自动售货机VHDL程序与仿真 里面包含有基于VHDL语言编写的自动售货机程序,同时有详细的注释说明,并且附上仿真图,能够实现货物信息存储,进程控制,硬币处理,余额计算,显示等功能,显示的钱数coin以5角为单位。
【文件预览】:
自动售货机VHDL程序与仿真.doc

网友评论

  • 很好用,实在是解决了 燃眉之急啊