FPGA来完成直接数字频率合成器(DDS)的设计

时间:2014-12-27 06:03:45
【文件属性】:
文件名称:FPGA来完成直接数字频率合成器(DDS)的设计
文件大小:7.82MB
文件格式:DOC
更新时间:2014-12-27 06:03:45
单片机,现场可编程逻辑门阵列,直接数字频率合成,正弦信号发生器,硬件描述语言 用FPGA来完成直接数字频率合成器(DDS)的设计,DDS由相位累加器和正弦ROM查找表两个功能块组成,其中ROM查找表由兆功能模块LPM_ROM来实现。而通过设定不同的累加器初值(K1)和初始相位值(K2),可以调节两路相同频率正弦信号之间的相位差,从而产生两路数字式的频率、相位和幅值可调的正弦波信号,最后通过MAX+plusII演示仿真结果。

网友评论

  • 能用64点的波形做出1°相移?不太可能吧,思路可参考,具体模块还是算了
  • 正在学习中,对我有挺大帮助,受益匪浅,感谢分享