cocotb-test:cocotb的单元测试

时间:2021-05-04 12:52:18
【文件属性】:
文件名称:cocotb-test:cocotb的单元测试
文件大小:25KB
文件格式:ZIP
更新时间:2021-05-04 12:52:18
Python Cocotb检验 cocotb-test提供了标准的Python单元测试功能 允许Python单元测试的外观 消除对Makefile的需求(包括Makefile兼容模式) 轻松定制仿真流程 允许使用或进行并行运行 用法: 安装 。 安装模拟器(适用于带有conda的Icarus Verilog): conda install -c conda-forge iverilog 通过安装软件包: pip install cocotb-test 或开发版本 pip install -v https://github.com/themperek/cocotb-test/archive/master.zip 或者 git clone https://github.com/themperek/cocotb-test.git pip install -v -e cocotb-test
【文件预览】:
cocotb-test-master
----MANIFEST.in(60B)
----cocotb_test()
--------run.py(224B)
--------__init__.py(22B)
--------plugin.py(3KB)
--------simulator.py(31KB)
--------cli.py(4KB)
--------Makefile.inc(251B)
----tox.ini(91B)
----LICENSE(1KB)
----setup.py(1KB)
----README.md(6KB)
----azure-pipelines.yml(5KB)
----tests()
--------subfolder1()
--------test_parameters.py(2KB)
--------test_parallel.py(2KB)
--------test_parameters.v(176B)
--------dff.verilog.Makefile(195B)
--------test_cocotb_examples.py(1KB)
--------ius_defines.f(19B)
--------test_cocotb_tests.py(2KB)
--------Makefile(100B)
--------dff_cocotb.py(752B)
--------dff.vhdl(427B)
--------test_dff_custom_sim.py(2KB)
--------test_dff.py(792B)
--------test_plus_args.py(1KB)
--------plus_args.sv(478B)
--------dff.v(248B)
--------test_parameters.vhdl(347B)
----.gitignore(2KB)

网友评论