verilog HDL奇数分频器

时间:2016-11-12 05:19:40
【文件属性】:
文件名称:verilog HDL奇数分频器
文件大小:323KB
文件格式:ZIP
更新时间:2016-11-12 05:19:40
verilog 奇数 用FPGA实现占空比为50%的方波的奇数分频,语言为verlog HDL,已仿真验证OK
【文件预览】:
divider
----divider.sof(513KB)
----divider.sim.rpt(11KB)
----divider.flow.rpt(5KB)
----db()
--------divider.cmp.cdb(4KB)
--------divider.signalprobe.cdb(934B)
--------divider.cbx.xml(89B)
--------prev_cmp_divider.qmsg(61KB)
--------divider.map_bb.logdb(4B)
--------prev_cmp_divider.sim.qmsg(4KB)
--------divider.cmp.hdb(6KB)
--------divider.map.ecobp(28B)
--------divider.pre_map.cdb(2KB)
--------divider.db_info(137B)
--------divider.psp(3B)
--------prev_cmp_divider.asm.qmsg(2KB)
--------divider.cmp0.ddb(92KB)
--------divider.sim.rdb(2KB)
--------divider.asm_labs.ddb(354KB)
--------divider.tis_db_list.ddb(174B)
--------divider.pre_map.hdb(7KB)
--------divider.cmp_bb.rcf(854B)
--------divider.cmp_bb.cdb(2KB)
--------divider.map.hdb(6KB)
--------divider.rtlv_sg_swap.cdb(178B)
--------divider.syn_hier_info(0B)
--------divider.sld_design_entry.sci(154B)
--------divider.rtlv_sg.cdb(2KB)
--------divider.map.bpm(380B)
--------divider.(0).cnf.hdb(907B)
--------wed.wsf(3KB)
--------divider.map.qmsg(4KB)
--------divider.fit.qmsg(22KB)
--------divider.hif(842B)
--------divider.hier_info(432B)
--------prev_cmp_divider.fit.qmsg(19KB)
--------divider.cmp_bb.logdb(68B)
--------divider.map_bb.cdb(1KB)
--------divider.map.logdb(4B)
--------divider.map_bb.hdb(6KB)
--------divider.(0).cnf.cdb(2KB)
--------divider.sld_design_entry_dsc.sci(154B)
--------divider.cmp.ecobp(28B)
--------divider.rtlv.hdb(7KB)
--------divider.cmp.logdb(9KB)
--------divider.sim.cvwf(918B)
--------divider.map.cdb(2KB)
--------divider.sgdiff.cdb(2KB)
--------divider.sim.hdb(3KB)
--------divider.eds_overflow(2B)
--------divider.dbp(0B)
--------divider.cmp.tdb(3KB)
--------prev_cmp_divider.map.qmsg(5KB)
--------divider.cmp.rdb(22KB)
--------divider.pss(36B)
--------divider.sgdiff.hdb(7KB)
--------divider.sim.qmsg(3KB)
--------divider.asm.qmsg(2KB)
--------prev_cmp_divider.tan.qmsg(2KB)
--------divider.cmp_bb.hdb(6KB)
--------divider.eco.cdb(161B)
--------divider.cmp.bpm(388B)
--------divider.tan.qmsg(33KB)
----divider.tan.rpt(23KB)
----divider.fit.summary(596B)
----divider.qsf(2KB)
----divider.vwf(3KB)
----divider.v.bak(571B)
----divider.pin(57KB)
----divider.fit.smsg(499B)
----divider.pof(2MB)
----divider.tan.summary(1KB)
----divider.qpf(910B)
----divider.done(26B)
----divider.asm.rpt(7KB)
----divider.fit.rpt(150KB)
----divider.map.rpt(19KB)
----divider.map.summary(452B)
----divider.map.smsg(258B)
----divider.v(579B)
----divider.qws(1KB)

网友评论