cordic输出正余弦的verilog代码

时间:2014-05-09 04:32:49
【文件属性】:
文件名称:cordic输出正余弦的verilog代码
文件大小:7KB
文件格式:V
更新时间:2014-05-09 04:32:49
verilog 代码 cordic 正弦 verilog 代码 cordic 正弦

网友评论

  • 不错好东西,值得学习!!
  • 有点难懂,再研究研究
  • 为什么打开后是乱的呢
  • // floor(atan((0.5).^[0:14]')/(2*pi)*2**(17)+.5)这行的“2**(17)”应该是“2^17”
  • 比较简单的实现方法,适合初学者
  • 还是不错的,不过没注释
  • 比较简单的
  • cordic算法的verilog实现,对初学者很有用
  • 没什么注释,看起来有点费劲啊。。。
  • 非常好的算法 用于DDS