verilog SPI slave IP, www.fpga4fun.com

时间:2013-06-03 08:39:38
【文件属性】:
文件名称:verilog SPI slave IP, www.fpga4fun.com
文件大小:29KB
文件格式:RAR
更新时间:2013-06-03 08:39:38
verilog spi slave www.fpga4fun.com代码 spi slave,可以实现从接收,已经用DSP和FPGA通信测试
【文件预览】:
spislave.v
readme.doc
spislave_tb.v

网友评论

  • 还好吧,可以使用,就是复杂点
  • 有点复杂,精简一下后更好
  • 一般,基本可参考
  • 写得不错,可以使用。 不过,还是可以继续优化滴。
  • 真的非常好用,注释也是英文的。谢谢
  • 注释看不懂。程序很复杂。具体行不行我没能验证。换了其他的程序。但楼主的资源是好得。
  • 用处不大!
  • 代码写得很简洁,但是能够实现SPI从机功能,已验证,可以使用!
  • 还行吧,应该可以用
  • 还可以的,但是用起来有点麻烦,不太方便,建议适当优化一下
  • 还好吧,可以使用,就是复杂点
  • 还可以,不过太繁琐了,可以精简一下用
  • 写的有点复杂,不过仔细看看还好~
  • 写的还不错,但是复杂了些,如果能够参数化+通用化修改,将是一个很不错的IP
  • 真的非常好用,注释也是英文的。谢谢
  • 代码写得很简洁,但是能够实现SPI从机功能,已验证,可以使用!
  • 已经在FPGA上验证过了,可以用!
  • 代码写的不错,考虑到了时序等问题,谢谢分享
  • 这个SPI程序写的不错!只是有些功能冗余了,不过可以做出相应的调整!改过之后很好!谢谢楼主分享~!