如何编写verilog测试文件

时间:2013-11-24 15:55:34
【文件属性】:
文件名称:如何编写verilog测试文件
文件大小:52KB
文件格式:DOC
更新时间:2013-11-24 15:55:34
verilog testbench 测试 介绍了verilog testbench的基本写法和一些技巧,对于初学者是很有帮助的。

网友评论

  • 内容还可以,不过不够详细
  • 还可以 对于刚开始还挺好用的
  • 还可以 对于刚开始还挺好用的
  • 内容还好吧,不过不够详细。
  • 内容还好,只是没有仿真程序
  • 很不错,虽然不算详细但是都是很重点的东西
  • 虽然不多,但很有帮助,verilog初学者必备
  • 分太高了,内容还好
  • 还好,就是不够详细
  • 还好,就是不够详细