Verilog测试模块的编写

时间:2014-05-25 22:54:54
【文件属性】:
文件名称:Verilog测试模块的编写
文件大小:843KB
文件格式:PDF
更新时间:2014-05-25 22:54:54
Verilog,测试模块, 编写 介绍如何编写较复杂的测试文件,对所做的设计进行完整的测试和验证,掌握组织模块测试的常用方法;学会编写常用的测试代码。

网友评论

  • 不咋样,例子少,用处不大
  • 例子很少,还是有些用
  • 范例不够,都是介绍
  • 很实用 多谢
  • 例子很好,就是太少了
  • 却是例子很少,但还是有些用
  • 范例不够,都是介绍!
  • 范例不够,都是介绍!
  • csdn里面关于verilog的测资料不多,是因为这个很简单吗?这里是一个关于如何些测试的资料,例子很少。
  • 介绍详细 挺有用 感谢分享