VHDL 伪随机码产生器

时间:2013-12-13 13:47:41
【文件属性】:
文件名称:VHDL 伪随机码产生器
文件大小:1KB
文件格式:VHD
更新时间:2013-12-13 13:47:41
VHDL 伪随机码产生器 VHDL语言写的伪随机序列产生器,7阶,可修改参数为m阶

网友评论

  • 建议增加其他N取值的情况,例如n=10时如何产生伪随机序列
  • 可以作参考,生成随机数
  • 可以生成随机数
  • 可以用,能够生成随机数