伪随机序列发生器 verilog

时间:2016-05-30 09:00:45
【文件属性】:
文件名称:伪随机序列发生器 verilog
文件大小:952KB
文件格式:ZIP
更新时间:2016-05-30 09:00:45
伪随机序列 发生器 verilog 伪随机序列 发生器 verilog quartus仿真,带ROM模块
【文件预览】:
伪随机序列发生器
----lfsr.qws(2KB)
----lfsr.sim.rpt(7.98MB)
----lfsr.map.rpt(18KB)
----lfsr.map.summary(676B)
----lfsr.v(419B)
----lfsr.map.smsg(259B)
----db()
--------lfsr.db_info(137B)
--------lfsr.fnsim.cdb(329KB)
--------lfsr.eds_overflow(3B)
--------lfsr.sim.rdb(349KB)
--------lfsr.hier_info(5KB)
--------prev_cmp_lfsr.qmsg(20KB)
--------lfsr.(1).cnf.hdb(1KB)
--------lfsr.sim_ori.vwf(4KB)
--------lfsr.pre_map.hdb(9KB)
--------lfsr.map.qmsg(7KB)
--------lfsr.simfam(10B)
--------lfsr.sld_design_entry_dsc.sci(154B)
--------lfsr.fnsim.qmsg(20KB)
--------wed.wsf(2KB)
--------lfsr.(0).cnf.cdb(1KB)
--------lfsr.sld_design_entry.sci(154B)
--------lfsr.tmw_info(39B)
--------lfsr.psp(0B)
--------lfsr.(3).cnf.hdb(630B)
--------lfsr.rtlv.hdb(9KB)
--------prev_cmp_lfsr.sim.qmsg(4KB)
--------lfsr.(1).cnf.cdb(3KB)
--------lfsr.fnsim.hdb(77KB)
--------lfsr.(3).cnf.cdb(2KB)
--------lfsr.pre_map.cdb(7KB)
--------lfsr.cmp.rdb(3KB)
--------lfsr.rtlv_sg.cdb(8KB)
--------lfsr.(2).cnf.hdb(669B)
--------lfsr.tis_db_list.ddb(174B)
--------lfsr.map_bb.hdbx(7KB)
--------lfsr.(0).cnf.hdb(601B)
--------lfsr.hif(2KB)
--------lfsr.sim.qmsg(4KB)
--------mux_dqc.tdf(94KB)
--------lfsr.map_bb.hdb(6KB)
--------prev_cmp_lfsr.map.qmsg(7KB)
--------lfsr.rtlv_sg_swap.cdb(861B)
--------lfsr.eco.cdb(161B)
--------lfsr.cbx.xml(830B)
--------lfsr.(2).cnf.cdb(4KB)
--------lfsr.sim.hdb(3KB)
----sr.v(887B)
----control.v.bak(1KB)
----lfsr.done(26B)
----lfsr.flow.rpt(6KB)
----lfsr.qsf(2KB)
----rom.v(6KB)
----lfsr.qpf(905B)
----incremental_db()
--------compiled_partitions()
--------README(653B)
----transcript(389B)
----lfsr.v.bak(24B)
----control.v(1KB)
----lfsr.vwf(4KB)

网友评论

  • 是你写的??错误太多!楼上那个是僵尸吗?7-13
  • 非常好,具有参考价值。
  • 程序有用,可以参考,里面数据需要注意。LFSR不错的伪随机方法。