verilog编写的伪随机序列发生器

时间:2013-04-02 08:55:21
【文件属性】:
文件名称:verilog编写的伪随机序列发生器
文件大小:2KB
文件格式:V
更新时间:2013-04-02 08:55:21
verilog 伪随机 此程序是用verilog语言实现的伪随机序列,程序里面对同步字节、数据包、数据帧都有说明,使得程序明白易懂。

网友评论

  • 可以 谢谢啦
  • 希望有帮助
  • 还可以吧,谢谢分享。
  • 没有正确打开
  • 很好,我还是用我自己的代码解决问题了,多看看通信原理就好了
  • 谢谢分享,这些资料太有用了
  • 可以使用,编译通过
  • 可以使用,很好
  • 对我不是很有帮助
  • 理解并行语言很好的例子
  • 可以使用,谢谢
  • 我也试了 可以编译并通过 谢谢了
  • 可以使用,编译通过
  • 有用,仿真通过,谢谢楼主。
  • 可以使用,编译通过
  • 可以使用,编译通过
  • 可以使用,编译通过
  • 有用,这个资料仿真通过