用VHDL实现流水灯设计

时间:2015-07-03 10:04:38
【文件属性】:
文件名称:用VHDL实现流水灯设计
文件大小:15KB
文件格式:DOC
更新时间:2015-07-03 10:04:38
流水灯,七个数码管依次点亮 这是一个简单的程序,用VHDL实现流水灯设计,希望能对初学者有所帮助。

网友评论