用VHDL语言控制流水灯

时间:2014-12-09 11:00:22
【文件属性】:
文件名称:用VHDL语言控制流水灯
文件大小:212KB
文件格式:RAR
更新时间:2014-12-09 11:00:22
VHDL 用VHDL语言实现流水灯的设计,在FPGA板子上跑出来

网友评论

  • 代码运行出来了,很好的资源
  • 资源不错 可惜不是课程设计文档