基于FPGA的数字电子时钟设计

时间:2021-07-07 05:14:03
【文件属性】:
文件名称:基于FPGA的数字电子时钟设计
文件大小:2.05MB
文件格式:PDF
更新时间:2021-07-07 05:14:03
FPGA数字电子时钟 利用数字电子技术、EDA设计方法、FPGA等技术,设计、仿真并实现一个基于FPGA的数字电子时钟基本功能,其基本组成框图如图1所示,振荡器采用ALTERA的DE2-70实验板的50MHz输出,分频器将50MHz的方波进行分频进而得到1Hz的标准秒脉冲,时、分、秒计时模块分别由二十四进制时计数器、六十进制分计数器和六十进制秒计数器完成,校时模块完成时和分的校正。扩展功能设计为倒计时功能,从59分55秒至59分59秒,每秒亮一盏灯报时。

网友评论