Verilog状态机实现自动饮料售货机

时间:2021-06-30 14:22:32
【文件属性】:
文件名称:Verilog状态机实现自动饮料售货机
文件大小:251KB
文件格式:RAR
更新时间:2021-06-30 14:22:32
Verilog 使用状态机实现自动饮料售货机,一次只能投入一枚硬币,面值为1元或者5角。具有自动找零功能。
【文件预览】:
tb_top.v
tb_beverage.v
3150102123_陈航_hdl_lab6(以此为准).pdf
beverage.v

网友评论