基于FPGA电子琴(verilog HDL)

时间:2014-06-22 15:01:01
【文件属性】:
文件名称:基于FPGA电子琴(verilog HDL)
文件大小:1KB
文件格式:QPF
更新时间:2014-06-22 15:01:01
FPGA verilog 电子琴 基于FPGA的中频电子琴 通过八个按键来控制发声,外接喇叭或者蜂鸣器 可以自己编写曲目来进行演奏

网友评论

  • 怎么就一个qpf文件,其他的都没有啊
  • 感觉还可以
  • 还是有点帮助……
  • 作用不大,有点失望
  • 还行吧,对自己学习挺好的
  • 一般般,没有太大作用
  • 还不错,挺好用