用verilog语言实现自动售货机

时间:2017-07-23 10:26:41
【文件属性】:
文件名称:用verilog语言实现自动售货机
文件大小:2KB
文件格式:RAR
更新时间:2017-07-23 10:26:41
verilog 自动售货机 用verilog语言实现自动售货机。 本代码的输入信号有clk ,money ,sel ,order ,back ,left1 ,left2 ,left3 ,left4。clk代表时钟信号,money代表顾客投入的钱币,sel为顾客选择的货物,order为确认所选货物选项,back为返回初始状态选项,left1为第一种货物的剩余量,left2为第二种货物的剩余量,left3为第三种货物的剩余量,left4为第四种货物的剩余量。 输出信号为money_left ,out_num1 ,out_num2 ,out_num3 ,out_num4。money_left为找零数目,out_num1为第一种货物的出货量,out_num2为第二种货物的出货量,out_num3为第三种货物的出货量,out_num4为第四种货物的出货量。
【文件预览】:
vending_machine
----machine.v(4KB)
----machine_tb.v(2KB)

网友评论