自动售货机VHDL实现

时间:2013-02-04 07:12:24
【文件属性】:
文件名称:自动售货机VHDL实现
文件大小:593KB
文件格式:DOC
更新时间:2013-02-04 07:12:24
自动售货机 VHDL 仿真波形 具有MAX+PLUSⅡ的仿真波形 某自动售货机中有两种饮料可以出售,售价分别为2元和3元。售货机可以识别1元,5元两种货币。如果投入金额总值等于或超过售价就可以将饮料放出,并具有相应的找零钱功能。 (1)用户可多次选择购买的饮料种类,某次饮料种类选定后等待投币。如等待时间超过10秒钟,则认为用户放弃选购,售货机自动回到等待状态,等待新的交易。 若在10秒内,再次选中饮料,则再次等待。 (2)每次交易完成,售货机自动回到等待状态,等待新的交易。 (3)用按键模拟投入1元,5元两种货币,可连续投币,同时显示投入的金额和还应投入的金额。若某次投币后金额不足,且一定时限内不再投币(10秒左右),则交易失败,并退币,显示退还金额。 (4)具有指示电路,分别指示购买成功、交易取消(交易失败)和找零。 (5)相应显示有延时和时控功能。

网友评论

  • 请问有各模块的仿真程序吗?
  • vhdl考试拿这个修改了一下给老师看,果断通过~~
  • 挺好的,我觉得这个自动售货机很贴近实际,很棒!我进行了实际的学习后,受益匪浅。