自动售货机VHDL程序

时间:2012-11-13 05:08:14
【文件属性】:
文件名称:自动售货机VHDL程序
文件大小:284KB
文件格式:RAR
更新时间:2012-11-13 05:08:14
自动售货机 VHDL 源码 扩展功能 设计一个自动售货机控制程序,它的投币口每次可以投入1元、2元、5元,且规定投入1元或2元后不得再投入5元。当投入总值等于或超过设定值(4元),售货机就自动送出货物并找回多余的钱。 基本要求:按照上面要求,编写程序,实现售单一商品的功能。 扩展要求:在基本要求的基础上,完善电路,实现售多个商品的功能(设定值应该相应增加)

网友评论