基于FPGA的频率计

时间:2013-09-02 04:58:32
【文件属性】:
文件名称:基于FPGA的频率计
文件大小:54KB
文件格式:RAR
更新时间:2013-09-02 04:58:32
vhdl fpga 频率计 vhdl fpga 频率计 采用vhdl编写频率计
【文件预览】:
频率计
----FREQTEST.tan.rpt(71KB)
----FREQTEST.fit.smsg(411B)
----FREQTEST.sim.rpt(31KB)
----FREQTEST.qws(534B)
----FREQTEST.sof(137KB)
----FREQTEST.pin(30KB)
----FREQTEST.map.summary(411B)
----FREQTEST.qsf(2KB)
----FREQTEST.asm.rpt(7KB)
----db()
--------prev_cmp_FREQTEST.qmsg(3KB)
--------FREQTEST.sim.cvwf(1KB)
--------wed.wsf(9KB)
--------FREQTEST.db_info(152B)
--------FREQTEST.sld_design_entry.sci(169B)
--------FREQTEST.eco.cdb(176B)
--------prev_cmp_FREQTEST.sim.qmsg(3KB)
----FREQTEST.qpf(909B)
----FREQTEST.vhd(1KB)
----FREQTEST.vwf(15KB)
----FREQTEST.pof(512KB)
----COUNTER32B.vhd(597B)
----FREQTEST.tan.summary(1KB)
----FREQTEST.flow.rpt(5KB)
----FREQTEST.map.rpt(19KB)
----FREQTEST.done(26B)
----FREQTEST.fit.summary(408B)
----REG32B.vhd(344B)
----FREQTEST_assignment_defaults.qdf(32KB)
----FTCTRL.vhd(672B)
----FREQTEST.fit.rpt(97KB)

网友评论

相关文章