基于VHDL语言的交通灯设计

时间:2014-07-17 01:12:06
【文件属性】:
文件名称:基于VHDL语言的交通灯设计
文件大小:434KB
文件格式:DOC
更新时间:2014-07-17 01:12:06
交通灯 很好很强大library IEEE; use IEEE.std_logic_1164.all;

网友评论

  • 对我有做大作业有很大的帮助