vhdl 语言的交通灯设计

时间:2013-12-01 14:52:22
【文件属性】:
文件名称:vhdl 语言的交通灯设计
文件大小:361KB
文件格式:DOC
更新时间:2013-12-01 14:52:22
VHDL 交通灯设计 用VHDL设计交通灯控制器 Timer模块仿真波形,输入信号clk为频率1KHz的时钟信号,当复位信号reset高电平时定时器复位,启动信号start_timer为低电平时定时器清零,高电平时开始定时,定时4秒后short信号输出高电平,定时20秒后long信号输出高电平,定时器不再计数。

网友评论