【文件属性】:
文件名称:声明特性-微积分入门Ⅰ 一元微积分 -(日)小平邦彦
文件大小:10.44MB
文件格式:PDF
更新时间:2024-07-29 18:52:49
System Verilog 中文
17.10 系统函数
第十七章 断言
SystemVerilog 3.1a 语言参考手册
17.10 系统函数
第十七章 断言
断言一般被用来计算一个设计实现的某些特定属性,例如一个特定的信号是否为―热点(one-hot)‖。SystemVerilog 中
包含下列系统函数来促进这些基本的断言功能:
$onehot() :如果表达式中只有一位为高,那么返回―真‖。
$onehot0() :如果表达式中至少有一位为高,那么返回―真‖。
$isunknown() :如果表达式任何一位为 X 或 Z,那么返回―真‖,等价于:^
=== 'bx。
所有上述的系统函数都具有一个 bit 类型的返回值。1'b1 的返回值指示―真‖,1'b0 的返回值指示―假‖。
为布尔表达式提供的另外一个有用的函数是$countones,它可以计算一个位向量表达式中 1 的数目。
Copy Code
$countones(expression)
值为 X 和 Z 的位不会被计数到 1 的数目。
Group
第十七章 断言
链接
第十七章 断言
17.11 声明特性
第十七章 断言, 主题
SystemVerilog 3.1a 语言参考手册
17.11 声明特性
第十七章 断言 | 主题
一个特性定义了设计的一个行为。一个特性可以作为一个假设、一个检查器或者一个覆盖率规范被用于验证。为了将这种
行为用于验证,必须使用一个断言、假设或者覆盖语句。一个特性声明本身不会产生任何结果。
一个特性可以在下列结构中声明:
一个模块
一个接口
一个程序
一个时钟控制块
一个包
一个编译单元作用域