vunit_action:VUnit GitHub动作

时间:2021-01-30 06:14:25
【文件属性】:
文件名称:vunit_action:VUnit GitHub动作
文件大小:5KB
文件格式:ZIP
更新时间:2021-01-30 06:14:25
continuous-integration vhdl ContinuousintegrationVHDL VUnit和GitHub操作 该存储库展示了在GitHub Actions中使用VUnit的几种方法。 Subdir包含HDL设计示例的源,该示例在参考工作流程中进行了测试: 。 注意:当前,仅支持在OCI容器中执行测试。 因此,设计只能在GNU / Linux上进行测试,因为GitHub Actions不支持运行Windows容器。 注意:在VUnit支持的模拟器中,GHDL是唯一可以*使用的模拟器。 因此,所有这些解决方案都使用GHDL。 但是,语法不受此限制,因此可以在私有存储库和/或自托管运行程序中使用带有其他受支持模拟器的任何其他OCI映像。 行动 使用动作是最惯用且不太冗长的解决方案。 runs-on : ubuntu-latest steps : - uses : actions/checkout@v2 - uses : VUnit/vunit_action@master with : cmd : test/run.py 选件 cmd :VUnit*Python脚本的自定义命令或路径。 默认值: run
【文件预览】:
vunit_action-master
----.github()
--------workflows()
----action.yml(458B)
----LICENSE(1KB)
----test()
--------src()
--------run.py(352B)
----README.md(3KB)

网友评论