verilog编写的流水线cpu

时间:2013-04-03 20:10:54
【文件属性】:
文件名称:verilog编写的流水线cpu
文件大小:12.05MB
文件格式:RAR
更新时间:2013-04-03 20:10:54
Verilog 流水线 cpu 本科组成原理实验课程作业 verilog编写的可执行22条指令的流水线CPU,不涉及缓存。

网友评论

  • 学习一下流水,不过好久不写verilog了,有点看不懂了。
  • 不错,虽然有的细节不完善
  • 不错不错,作者辛苦了
  • 状态机 不懂
  • 有结构图不错~~
  • 结构图很给力,谢谢了
  • 代码不错,有文档说明,有结构图,就是端口的名字起的太乱了,应该按照书上的名字就好了
  • 有code有文档还有结构图,不错,学习了!