Cordic VHDL 完整算法实现

时间:2015-02-18 08:18:48
【文件属性】:
文件名称:Cordic VHDL 完整算法实现
文件大小:107KB
文件格式:RAR
更新时间:2015-02-18 08:18:48
Cordic VHDL Cordic Vhdl 算法实现,通过验证,包括文档
【文件预览】:
Cordic
----documentation()
--------cordic.pdf(71KB)
--------CVS()
--------cordic.doc(147KB)
----rect2polar()
--------r2p_post.vhd(3KB)
--------r2p_CordicPipe.vhd(4KB)
--------r2p_cordic.vhd(2KB)
--------CVS()
--------r2p_corproc.vhd(3KB)
--------r2p_pre.vhd(2KB)
----r2p_post.vhd(3KB)
----r2p_CordicPipe.vhd(4KB)
----p2r_CordicPipe.vhd(3KB)
----polar2rect()
--------p2r_CordicPipe.vhd(3KB)
--------sc_corproc.vhd(1KB)
--------CVS()
--------p2r_cordic.vhd(2KB)
----mathpack.vhd(48KB)
----r2p_cordic.vhd(2KB)
----sc_corproc.vhd(1KB)
----CVS()
--------Entries(54B)
--------Repository(7B)
--------Root(14B)
----p2r_cordic.vhd(2KB)
----r2p_corproc.vhd(3KB)
----r2p_pre.vhd(2KB)

网友评论

  • 毕业设计用的 挺好的
  • vhdl作业用的,挺全的。
  • 我要的能下载到FPGA的代码,这个貌似是仿真的,不过还是谢谢了