图像中值滤波硬件算法及其在FPGA中的实现

时间:2012-12-04 07:34:40
【文件属性】:
文件名称:图像中值滤波硬件算法及其在FPGA中的实现
文件大小:213KB
文件格式:PDF
更新时间:2012-12-04 07:34:40
FPGA 中值滤波 硬件算法 研究了一种适于硬件并行处理的基于统计比较的图像中值滤波算法,并用VHDL硬件开发语言在Xilinx公司的现场可编程门阵列( FPGA)上实现,给出了整个硬件系统的构造方法。仿真结果说明了该算法满足实时性要求,取得了良好的滤波效果,适用于图像采集与预处理系统中。

网友评论