图像中值滤波算法的FPGA实现

时间:2014-09-22 16:57:49
【文件属性】:
文件名称:图像中值滤波算法的FPGA实现
文件大小:2.18MB
文件格式:PDF
更新时间:2014-09-22 16:57:49
中值滤波在对于数字图象的处理过程中,已经成为了一种越来越备受关注的算法,尤其是应用在图像的平滑处理过程中。本文将会介绍一种中值滤波的快速算法, 这种算法是常规中值滤波冒泡排序算法的优化版本。本文将会给出这种快速算法在FPGA 上的硬件实现,并且对一个由摄像头采集进来的实时图像信号进行处理。最后还会给出经过处理前后实时图像的截图对比。

网友评论

  • 很有用 ,大家积极下载
  • 表示实际可以用的东西比较少,用来做参考还是很不错的资料
  • 写的详细 但是自己水平较差 不好搞
  • 不错,但只是讲述的思想,最好是具体的实现细节就更好了
  • 很好,很有参考意义
  • 实现的细节也将的很详细,可以参考
  • 这个论文不错啊
  • 实现的细节也将的很详细,可以参考