数字钟 EDA

时间:2017-06-30 14:46:08
【文件属性】:
文件名称:数字钟 EDA
文件大小:910B
文件格式:QPF
更新时间:2017-06-30 14:46:08
数字钟 EDA 基于eda技术 数字时钟 可调时,设定闹钟,整点报时,有分频模块

网友评论