论文研究-一种CMMB系统中高性能RS译码器的FPGA实现 .pdf

时间:2022-09-11 11:09:34
【文件属性】:
文件名称:论文研究-一种CMMB系统中高性能RS译码器的FPGA实现 .pdf
文件大小:295KB
文件格式:PDF
更新时间:2022-09-11 11:09:34
CMMB 一种CMMB系统中高性能RS译码器的FPGA实现,刘来增,陈昕,RS码因具有很强的纠突发和随机错误的能力而广泛用于各种通信系统中。采用便于实现并性能较好的BM算法,本文设计并实现了CMMB系统中�

网友评论