基于VHDL的DDS设计

时间:2018-04-14 11:40:30
【文件属性】:
文件名称:基于VHDL的DDS设计
文件大小:89KB
文件格式:CAJ
更新时间:2018-04-14 11:40:30
DDS 使用AD9850设计DDS信号发生器,输出正弦波频率1-30Mhz

网友评论