SHA-1哈希加密算法的verilog程序

时间:2017-08-05 16:08:07
【文件属性】:
文件名称:SHA-1哈希加密算法的verilog程序
文件大小:11KB
文件格式:V
更新时间:2017-08-05 16:08:07
SHA-1 加密 算法 verilog 利用verilog语言实现了SHA-1机密算法,具体算法与加密芯片ds28e01一致

网友评论

  • 程序不完整,case只写了几个情况然后就没了,很差劲。
  • 浪费资源,效果不好
  • 用的IO pin达685个,实现浪费资源。而且还没有建立工程和测试文件。有点不合人意。
  • 很好的程序,节约了不少时间