vhdl 16选4数据选择器

时间:2012-06-20 06:49:23
【文件属性】:
文件名称:vhdl 16选4数据选择器
文件大小:3KB
文件格式:RAR
更新时间:2012-06-20 06:49:23
vhdl 用vhdl语言设计实现十六选四的数据选择器电路
【文件预览】:
mux164.vwf
mux164.vhd

网友评论

  • 非常实用,同时也是个比较好的范例
  • 很基本的VHDL程序,适合入门