verilog 串口代码

时间:2018-01-06 05:11:39
【文件属性】:
文件名称:verilog 串口代码
文件大小:4KB
文件格式:RAR
更新时间:2018-01-06 05:11:39
串口 verilog verilog实现的串口 波特率可修改 串口带数据缓存支持接收自定义个数数据后产生中断 程序运行稳定方便好用
【文件预览】:
串口
----uart_fixbit.v(4KB)
----uart_rs422.v(5KB)
----uart_fifo_fixbit.v(7KB)

网友评论

  • 代码不全,垃圾